您现在的位置:网站首页答辩论文工学论文电子工程(报告)

[电子工程] 电子钟

  • 简介:数字钟设计要求 实验要求: 掌握硬件描述语言VHDL对功能电路的描述。掌握器件编程的基本操作。 实验内容: 要求用VHDL设计一个带分和小时校准的数字钟。进行波形仿真、定时分析,同时给出设计电路占用芯片资源的基本情况。仿真正确后,利用实验板...
    • 请与管理员联系购买资料 QQ:5739126
  • 论文简介
  • 相关论文
  • 论文下载
目录 数字钟连接图
数字钟流程图
60计数器(秒)
100计数器 (分频器)
选择器
译码器


数字钟设计要求
实验要求:
掌握硬件描述语言VHDL对功能电路的描述。掌握器件编程的基本操作。
实验内容:
要求用VHDL设计一个带分和小时校准的数字钟。进行波形仿真、定时分析,同时给出设计电路占用芯片资源的基本情况。仿真正确后,利用实验板提供的资源,下载电路实现预定功能。
实验报告:
总结VHDL对功能电路的描述;总结功能电路的设计过程和框图;提交VHDL文件(*.vhd),给出芯片资源占用情况报告;分析电路下载结果。
60计数器(秒)
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity m60 is
port
( en : in std_logic;
clear : in std_logic;
clk : in std_logic;
cout : out std_logic;
qh : buffer std_logic_vector( 3 downto 0 );
ql : buffer std_logic_vector( 3 downto 0 )
);
end m60;

architecture b of m60 is
begin
cout
查看评论 已有0位网友发表了看法
  • 验证码: