您现在的位置:网站首页答辩论文计算机毕业设计

毕业设计 基于FPGA的音乐播放器的设计与实现—歌名显示功能

  • 简介:毕业设计-基于FPGA的音乐播放器的设计与实现—歌名显示功能,共64页,22542字,附完整程序、开题报告等,摘要,随着微电子技术和计算机技术的不断发展,在设计通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊
    类型:word    页数:64    字数:22542   
    资料包括:论文 程序 开题报告   
    • 请与管理员联系购买资料 QQ:5739126
  • 论文简介
  • 相关论文
  • 论文下载
文件大小:13.68MB
适用专业:计算机科学与技术
适用年级:大学
论文编号:207260

论文简介:

毕业设计-基于FPGA的音乐播放器的设计与实现—歌名显示功能,共64页,22542字,附完整程序、开题报告等
摘要
随着微电子技术和计算机技术的不断发展,在设计通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一。基于EDA软件来进行数字电路的设计、模拟和调试,这种硬件软化的实验方法具有容易设计、容易修改和容易实现等优点,可以有效的提高实验效率。采用EDA技术实现电子产品已经渗透到我们的生活中,给人们的生活带来了极大的便利。
本设计在ED2-70板子上实现,并且在Quartus II上利用VHDL语言设计的音乐播放器。播放器利用按键可以使歌曲前进、后退、暂停和播放,可以在LCD显示屏上显示出与播放相对应的歌曲名称,制定LPM-ROM存储音乐数据,本设计选用了三首歌曲作为实例。首先利用VHDL语言进行各个模块的功能实现,再利用顶层文件将各个模块连接起来,在EDA开发工具Quartus II平台下对整体进行模块化分析、编程、综合、仿真及最终下载,完整实现音乐器的播放功能。
关键词:EDA; FPGA;VHDL;DE2-70;Quartus II;音乐播放器;
目录
1 引言 - 5 -
1.2 研究背景 - 5 -
1.3 FPGA概述 - 5 -
1.4 VHDL语言 - 5 -
1.5 开发环境 - 6 -
1.5.1 软件开发环境:Quartus II - 6 -
1.5.2 硬件开发环境:ED2-70实验板 - 9 -
2 音乐播放器的总体设计方案 - 10 -
2.1音乐播放器实现的功能 - 10 -
2.2音乐播放器的设计方案 - 11 -
3 音乐播放器的详细设计 - 12 -
3.1顶层模块 - 12 -
3.2 状态机模块 - 13 -
3.3 按键模块 - 15 -
3.4 地址模块 - 17 -
3.4.1 低位地址模块 - 17 -
3.4.2 总地址模块 - 17 -
3.5 LPM模块 - 18 -
3.6 LCD显示模块 - 20 -
3.7分频模块 - 27 -
3.8 蜂鸣器驱动模块 - 28 -
3.8.1 音符译码电路模块 - 31 -
3.8.2 数控分频模块 - 31 -
3.8.3 乐曲自动演奏模块 - 31 -
3.9 LED模块 - 32 -
4 问题及解决 - 33 -
5 结果 - 34 -
致谢 - 36 -
参考文献 - 37 -
附录 - 38 -


1.专业实习的内容与要求
1)查阅相关资料,确定系统设计方案,并完成功能模块的划分。
2)功能完整的音乐播放器的VHDL设计。
功能:屏幕显示可播放歌曲名单,通过“前进”、“后退”、“确认”按键
进行歌曲选择,并通过蜂鸣器(G25)进行播放。打开开发板以后,屏幕显示
三首歌的歌名,能通过“前进”“后退”“确认”选择想播放的歌曲。放的过
程中,可以通过“上一首”“下一首”“播放”“暂停”进行控制。三首歌,
屏幕能显示歌名,分三行显示。
关键模块的设计:
a:按键检测模块
通常所用的按键都为机械触点开关,由于机械触点存在弹性作用,当按下
按键或松开按键时,都不可避免地要在触点闭合及断开的瞬间产生一连串的键
抖动。其在按键闭合和断开时产生了多个边沿,如果不滤除抖动的话,则在读
取信号的边沿,会引起按键被误读多次,这样就会导致电路的误动作。为了保
证按键电路只有一次正确的响应,则按键消抖电路的使用是必不可少的。
b:数控分频模块
分频是常见的一种处理频率的手段,数字分频器由于没有理论误差,性能
稳定可靠而得到,广泛应用。所谓分频电路,就是将一个给定的频率较高的数
字输入信号,经过适当的处理后,产生一个或数个频率较低的数字输出信号。
分频电路本质上是加法计数器的变种,其计数值由分频常数N=fin/fout决定,其
输出不是一般计数器的计数结果,而是根据分频常数对输出信号的高、低电平
进行控制。
c:电子钟模块
clear信号是当第一首个播放时后,地址会增加,如果切换下一首个的时候


论文文件预览:
共5文件夹,282个文件,文件总大小:13.68MB,压缩后大小:5.35MB

  • 毕业设计-基于FPGA的音乐播放器的设计与实现—歌名显示功能
  • 1091000015
  • 1091000015-韩晓亚
  • music_vhdl
  • db
  • tdfaltsyncram_0hq1.tdf  [37.34KB]
  • tdfaltsyncram_a7p3.tdf  [3.46KB]
  • tdfaltsyncram_dg92.tdf  [13.67KB]
  • tdfaltsyncram_g7a1.tdf  [2.79KB]
  • tdfaltsyncram_gda1.tdf  [2.79KB]
  • tdfaltsyncram_gi92.tdf  [13.69KB]
  • tdfaltsyncram_j4a1.tdf  [2.79KB]
  • tdfaltsyncram_k7p3.tdf  [3.47KB]
  • tdfaltsyncram_mgq1.tdf  [31.65KB]
  • tdfaltsyncram_pi92.tdf  [13.71KB]
  • tdfcntr_8bi.tdf  [4.09KB]
  • tdfcntr_44j.tdf  [4.02KB]
  • tdfcntr_bbi.tdf  [4.09KB]
  • tdfcntr_gbi.tdf  [4.09KB]
  • tdfcntr_uti.tdf  [3.53KB]
  • tdfdecode_aoi.tdf  [3.39KB]
  • tdfdecode_rqf.tdf  [1.53KB]
  • cdbmusic_vhdl.(0).cnf.cdb  [2.52KB]
  • hdbmusic_vhdl.(0).cnf.hdb  [1.02KB]
  • cdbmusic_vhdl.(1).cnf.cdb  [20.71KB]
  • hdbmusic_vhdl.(1).cnf.hdb  [5.40KB]
  • cdbmusic_vhdl.(2).cnf.cdb  [1.22KB]
  • hdbmusic_vhdl.(2).cnf.hdb  [592.00B]
  • cdbmusic_vhdl.(3).cnf.cdb  [1.17KB]
  • hdbmusic_vhdl.(3).cnf.hdb  [699.00B]
  • cdbmusic_vhdl.(4).cnf.cdb  [1.36KB]
  • hdbmusic_vhdl.(4).cnf.hdb  [493.00B]
  • cdbmusic_vhdl.(5).cnf.cdb  [2.02KB]
  • hdbmusic_vhdl.(5).cnf.hdb  [783.00B]
  • cdbmusic_vhdl.(6).cnf.cdb  [6.15KB]
  • hdbmusic_vhdl.(6).cnf.hdb  [1.68KB]
  • cdbmusic_vhdl.(7).cnf.cdb  [4.92KB]
  • hdbmusic_vhdl.(7).cnf.hdb  [1.06KB]
  • cdbmusic_vhdl.(8).cnf.cdb  [1018.00B]
  • hdbmusic_vhdl.(8).cnf.hdb  [661.00B]
  • cdbmusic_vhdl.(9).cnf.cdb  [1.51KB]
  • hdbmusic_vhdl.(9).cnf.hdb  [612.00B]
  • cdbmusic_vhdl.(10).cnf.cdb  [1.69KB]
  • hdbmusic_vhdl.(10).cnf.hdb  [673.00B]
  • cdbmusic_vhdl.(11).cnf.cdb  [3.57KB]
  • hdbmusic_vhdl.(11).cnf.hdb  [799.00B]
  • cdbmusic_vhdl.(12).cnf.cdb  [2.03KB]
  • hdbmusic_vhdl.(12).cnf.hdb  [821.00B]
  • cdbmusic_vhdl.(13).cnf.cdb  [13.96KB]
  • hdbmusic_vhdl.(13).cnf.hdb  [1.54KB]
  • cdbmusic_vhdl.(14).cnf.cdb  [1.25KB]
  • hdbmusic_vhdl.(14).cnf.hdb  [588.00B]
  • cdbmusic_vhdl.(15).cnf.cdb  [1.20KB]
  • hdbmusic_vhdl.(15).cnf.hdb  [701.00B]
  • cdbmusic_vhdl.(16).cnf.cdb  [1.42KB]
  • hdbmusic_vhdl.(16).cnf.hdb  [499.00B]
  • cdbmusic_vhdl.(17).cnf.cdb  [2.11KB]
  • hdbmusic_vhdl.(17).cnf.hdb  [813.00B]
  • cdbmusic_vhdl.(18).cnf.cdb  [6.29KB]
  • hdbmusic_vhdl.(18).cnf.hdb  [1.71KB]
  • cdbmusic_vhdl.(19).cnf.cdb  [2.90KB]
  • hdbmusic_vhdl.(19).cnf.hdb  [817.00B]
  • cdbmusic_vhdl.(20).cnf.cdb  [2.66KB]
  • hdbmusic_vhdl.(20).cnf.hdb  [832.00B]
  • cdbmusic_vhdl.(21).cnf.cdb  [1012.00B]
  • hdbmusic_vhdl.(21).cnf.hdb  [585.00B]
  • cdbmusic_vhdl.(22).cnf.cdb  [2.68KB]
  • hdbmusic_vhdl.(22).cnf.hdb  [780.00B]
  • cdbmusic_vhdl.(23).cnf.cdb  [4.24KB]
  • hdbmusic_vhdl.(23).cnf.hdb  [1.39KB]
  • cdbmusic_vhdl.(24).cnf.cdb  [15.79KB]
  • hdbmusic_vhdl.(24).cnf.hdb  [5.93KB]
  • cdbmusic_vhdl.(25).cnf.cdb  [3.11KB]
  • hdbmusic_vhdl.(25).cnf.hdb  [1.48KB]
  • cdbmusic_vhdl.(26).cnf.cdb  [1.55KB]
  • hdbmusic_vhdl.(26).cnf.hdb  [609.00B]
  • cdbmusic_vhdl.(27).cnf.cdb  [2.90KB]
  • hdbmusic_vhdl.(27).cnf.hdb  [1.14KB]
  • cdbmusic_vhdl.(28).cnf.cdb  [8.03KB]
  • hdbmusic_vhdl.(28).cnf.hdb  [874.00B]
  • cdbmusic_vhdl.(29).cnf.cdb  [4.14KB]
  • hdbmusic_vhdl.(29).cnf.hdb  [1.86KB]
  • cdbmusic_vhdl.(30).cnf.cdb  [1.31KB]
  • hdbmusic_vhdl.(30).cnf.hdb  [536.00B]
  • cdbmusic_vhdl.(31).cnf.cdb  [2.39KB]
  • hdbmusic_vhdl.(31).cnf.hdb  [1.04KB]
  • cdbmusic_vhdl.(32).cnf.cdb  [2.25KB]
  • hdbmusic_vhdl.(32).cnf.hdb  [636.00B]
  • cdbmusic_vhdl.(33).cnf.cdb  [9.56KB]
  • hdbmusic_vhdl.(33).cnf.hdb  [1.98KB]
  • cdbmusic_vhdl.(34).cnf.cdb  [2.25KB]
  • hdbmusic_vhdl.(34).cnf.hdb  [636.00B]
  • cdbmusic_vhdl.(35).cnf.cdb  [2.09KB]
  • hdbmusic_vhdl.(35).cnf.hdb  [708.00B]
  • cdbmusic_vhdl.(36).cnf.cdb  [1.79KB]
  • hdbmusic_vhdl.(36).cnf.hdb  [541.00B]
  • cdbmusic_vhdl.(37).cnf.cdb  [3.14KB]
  • hdbmusic_vhdl.(37).cnf.hdb  [957.00B]
  • cdbmusic_vhdl.(38).cnf.cdb  [3.96KB]
  • hdbmusic_vhdl.(38).cnf.hdb  [1.03KB]
  • cdbmusic_vhdl.(39).cnf.cdb  [1.38KB]
  • hdbmusic_vhdl.(39).cnf.hdb  [505.00B]
  • cdbmusic_vhdl.(40).cnf.cdb  [2.10KB]
  • hdbmusic_vhdl.(40).cnf.hdb  [1.12KB]
  • cdbmusic_vhdl.(41).cnf.cdb  [756.00B]
  • hdbmusic_vhdl.(41).cnf.hdb  [492.00B]
  • cdbmusic_vhdl.(42).cnf.cdb  [618.00B]
  • hdbmusic_vhdl.(42).cnf.hdb  [475.00B]
  • cdbmusic_vhdl.(43).cnf.cdb  [4.23KB]
  • hdbmusic_vhdl.(43).cnf.hdb  [1.94KB]
  • cdbmusic_vhdl.(44).cnf.cdb  [1.11KB]
  • hdbmusic_vhdl.(44).cnf.hdb  [642.00B]
  • cdbmusic_vhdl.(45).cnf.cdb  [3.02KB]
  • hdbmusic_vhdl.(45).cnf.hdb  [1.04KB]
  • cdbmusic_vhdl.(46).cnf.cdb  [1.21KB]
  • hdbmusic_vhdl.(46).cnf.hdb  [641.00B]
  • cdbmusic_vhdl.(47).cnf.cdb  [2.86KB]
  • hdbmusic_vhdl.(47).cnf.hdb  [948.00B]
  • cdbmusic_vhdl.(48).cnf.cdb  [4.28KB]
  • hdbmusic_vhdl.(48).cnf.hdb  [714.00B]
  • cdbmusic_vhdl.(49).cnf.cdb  [3.53KB]
  • hdbmusic_vhdl.(49).cnf.hdb  [688.00B]
  • cdbmusic_vhdl.(50).cnf.cdb  [1.12KB]
  • hdbmusic_vhdl.(50).cnf.hdb  [642.00B]
  • cdbmusic_vhdl.(51).cnf.cdb  [3.12KB]
  • hdbmusic_vhdl.(51).cnf.hdb  [1.04KB]
  • cdbmusic_vhdl.(52).cnf.cdb  [1.04KB]
  • hdbmusic_vhdl.(52).cnf.hdb  [640.00B]
  • cdbmusic_vhdl.(53).cnf.cdb  [1.48KB]
  • hdbmusic_vhdl.(53).cnf.hdb  [1.08KB]
  • cdbmusic_vhdl.(54).cnf.cdb  [3.53KB]
  • hdbmusic_vhdl.(54).cnf.hdb  [688.00B]
  • cdbmusic_vhdl.(55).cnf.cdb  [3.75KB]
  • hdbmusic_vhdl.(55).cnf.hdb  [950.00B]
  • cdbmusic_vhdl.(56).cnf.cdb  [3.12KB]
  • hdbmusic_vhdl.(56).cnf.hdb  [674.00B]
  • cdbmusic_vhdl.(57).cnf.cdb  [11.85KB]
  • hdbmusic_vhdl.(57).cnf.hdb  [3.46KB]
  • cdbmusic_vhdl.(58).cnf.cdb  [2.65KB]
  • hdbmusic_vhdl.(58).cnf.hdb  [709.00B]
  • cdbmusic_vhdl.(59).cnf.cdb  [2.31KB]
  • hdbmusic_vhdl.(59).cnf.hdb  [637.00B]
  • cdbmusic_vhdl.(60).cnf.cdb  [891.00B]
  • hdbmusic_vhdl.(60).cnf.hdb  [493.00B]
  • cdbmusic_vhdl.(61).cnf.cdb  [2.12KB]
  • hdbmusic_vhdl.(61).cnf.hdb  [1.00KB]
  • cdbmusic_vhdl.(62).cnf.cdb  [638.00B]
  • hdbmusic_vhdl.(62).cnf.hdb  [531.00B]
  • cdbmusic_vhdl.(63).cnf.cdb  [1.16KB]
  • hdbmusic_vhdl.(63).cnf.hdb  [659.00B]
  • cdbmusic_vhdl.(64).cnf.cdb  [1.06KB]
  • hdbmusic_vhdl.(64).cnf.hdb  [635.00B]
  • cdbmusic_vhdl.(65).cnf.cdb  [816.00B]
  • hdbmusic_vhdl.(65).cnf.hdb  [580.00B]
  • cdbmusic_vhdl.(66).cnf.cdb  [6.26KB]
  • hdbmusic_vhdl.(66).cnf.hdb  [1.24KB]
  • qmsgmusic_vhdl.asm.qmsg  [1.99KB]
  • ddbmusic_vhdl.asm_labs.ddb  [1008.68KB]
  • atmmusic_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.cmp.atm  [131.01KB]
  • logdbmusic_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.cmp.logdb  [4.00B]
  • atmmusic_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.map.atm  [110.30KB]
  • logdbmusic_vhdl.autos_3e92b2e5d298f5cf757a393f7655ccd81.map.logdb  [4.00B]
  • xmlmusic_vhdl.cbx.xml  [2.09KB]
  • bpmmusic_vhdl.cmp.bpm  [10.43KB]
  • cdbmusic_vhdl.cmp.cdb  [401.79KB]
  • ecobpmusic_vhdl.cmp.ecobp  [68.00B]
  • hdbmusic_vhdl.cmp.hdb  [95.27KB]
  • logdbmusic_vhdl.cmp.logdb  [4.00B]
  • rdbmusic_vhdl.cmp.rdb  [53.98KB]
  • tdbmusic_vhdl.cmp.tdb  [401.05KB]
  • ddbmusic_vhdl.cmp0.ddb  [839.97KB]
  • cdbmusic_vhdl.cmp_bb.cdb  [88.35KB]
  • hdbmusic_vhdl.cmp_bb.hdb  [95.15KB]
  • logdbmusic_vhdl.cmp_bb.logdb  [4.00B]
  • rcfmusic_vhdl.cmp_bb.rcf  [101.39KB]
  • db_infomusic_vhdl.db_info  [136.00B]
  • dbpmusic_vhdl.dbp  [0.00B]
  • cdbmusic_vhdl.eco.cdb  [160.00B]
  • qmsgmusic_vhdl.fit.qmsg  [302.67KB]
  • hier_infomusic_vhdl.hier_info  [84.19KB]
  • hifmusic_vhdl.hif  [85.43KB]
  • bpmmusic_vhdl.map.bpm  [10.75KB]
  • cdbmusic_vhdl.map.cdb  [100.67KB]
  • ecobpmusic_vhdl.map.ecobp  [68.00B]
  • hdbmusic_vhdl.map.hdb  [89.74KB]
  • logdbmusic_vhdl.map.logdb  [4.00B]
  • qmsgmusic_vhdl.map.qmsg  [112.81KB]
  • cdbmusic_vhdl.map_bb.cdb  [58.01KB]
  • hdbmusic_vhdl.map_bb.hdb  [89.34KB]
  • logdbmusic_vhdl.map_bb.logdb  [4.00B]
  • cdbmusic_vhdl.pre_map.cdb  [84.42KB]
  • hdbmusic_vhdl.pre_map.hdb  [51.20KB]
  • pspmusic_vhdl.psp  [57.00B]
  • pssmusic_vhdl.pss  [2.19KB]
  • hdbmusic_vhdl.rtlv.hdb  [50.41KB]
  • cdbmusic_vhdl.rtlv_sg.cdb  [66.08KB]
  • cdbmusic_vhdl.rtlv_sg_swap.cdb  [5.64KB]
  • cdbmusic_vhdl.sgdiff.cdb  [102.55KB]
  • hdbmusic_vhdl.sgdiff.hdb  [124.35KB]
  • cdbmusic_vhdl.signalprobe.cdb  [1.59KB]
  • scimusic_vhdl.sld_design_entry.sci  [629.00B]
  • scimusic_vhdl.sld_design_entry_dsc.sci  [1.57KB]
  • atmmusic_vhdl.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.atm  [35.52KB]
  • logdbmusic_vhdl.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.logdb  [4.00B]
  • atmmusic_vhdl.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.atm  [29.88KB]
  • logdbmusic_vhdl.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.logdb  [4.00B]
  • txtmusic_vhdl.smp_dump.txt  [966.00B]
  • syn_hier_infomusic_vhdl.syn_hier_info  [0.00B]
  • qmsgmusic_vhdl.tan.qmsg  [120.13KB]
  • ddbmusic_vhdl.tis_db_list.ddb  [173.00B]
  • tdfmux_7oc.tdf  [4.42KB]
  • qmsgprev_cmp_music_vhdl.asm.qmsg  [1.99KB]
  • qmsgprev_cmp_music_vhdl.fit.qmsg  [300.11KB]
  • qmsgprev_cmp_music_vhdl.map.qmsg  [112.88KB]
  • qmsgprev_cmp_music_vhdl.qmsg  [532.80KB]
  • qmsgprev_cmp_music_vhdl.tan.qmsg  [117.60KB]
  • bsfADDR_ALL.bsf  [2.17KB]
  • vhdADDR_ALL.vhd  [612.00B]
  • bakADDR_ALL.vhd.bak  [612.00B]
  • bsfADDR_pro.bsf  [1.91KB]
  • vhdADDR_pro.vhd  [666.00B]
  • bsfLCD.bsf  [3.24KB]
  • vhdautomusic.vhd  [4.95KB]
  • bakautomusic.vhd.bak  [4.93KB]
  • bsfclk_50_12.bsf  [1.73KB]
  • vhdclk_50_12.vhd  [759.00B]
  • bakclk_50_12.vhd.bak  [757.00B]
  • bsfdianzij.bsf  [2.87KB]
  • vhddianzij.vhd  [1.72KB]
  • bakdianzij.vhd.bak  [1.72KB]
  • bsfdisplay_rom.bsf  [1.76KB]
  • cmpdisplay_rom.cmp  [969.00B]
  • vhddisplay_rom.vhd  [6.28KB]
  • jpgdisplay_rom_wave0.jpg  [102.92KB]
  • htmldisplay_rom_waveforms.html  [1.10KB]
  • bsfkey_test.bsf  [1.91KB]
  • vhdkey_test.vhd  [1.15KB]
  • bakkey_test.vhd.bak  [1.14KB]
  • vhdlcd.vhd  [12.66KB]
  • miflcd_display.mif  [2.16KB]
  • bsflight.bsf  [1.90KB]
  • vhdlight.vhd  [909.00B]
  • baklight.vhd.bak  [909.00B]
  • cmpmusic_rom.cmp  [967.00B]
  • vhdmusic_rom.vhd  [6.26KB]
  • mifmusic_rom64_4.mif  [2.12KB]
  • jpgmusic_rom_wave0.jpg  [102.92KB]
  • htmlmusic_rom_waveforms.html  [1.09KB]
  • rptmusic_vhdl.asm.rpt  [7.12KB]
  • donemusic_vhdl.done  [26.00B]
  • dpfmusic_vhdl.dpf  [239.00B]
  • rptmusic_vhdl.fit.rpt  [410.48KB]
  • smsgmusic_vhdl.fit.smsg  [513.00B]
  • summarymusic_vhdl.fit.summary  [614.00B]
  • rptmusic_vhdl.flow.rpt  [11.99KB]
  • jdimusic_vhdl.jdi  [12.10KB]
  • rptmusic_vhdl.map.rpt  [224.41KB]
  • summarymusic_vhdl.map.summary  [471.00B]
  • mifmusic_vhdl.mif  [3.89KB]
  • pinmusic_vhdl.pin  [101.60KB]
  • pofmusic_vhdl.pof  [2.00MB]
  • qpfmusic_vhdl.qpf  [912.00B]
  • qsfmusic_vhdl.qsf  [39.30KB]
  • bakmusic_vhdl.qsf.bak  [14.52KB]
  • qwsmusic_vhdl.qws  [580.00B]
  • sofmusic_vhdl.sof  [1.69MB]
  • rptmusic_vhdl.tan.rpt  [461.21KB]
  • summarymusic_vhdl.tan.summary  [2.52KB]
  • cmprom.cmp  [961.00B]
  • vhdrom.vhd  [6.19KB]
  • jpgrom_wave0.jpg  [103.48KB]
  • htmlrom_waveforms.html  [1.07KB]
  • vhdspeaker.vhd  [1.65KB]
  • bakspeaker.vhd.bak  [1.65KB]
  • bsfstate_machine.bsf  [3.09KB]
  • vhdstate_machine.vhd  [2.64KB]
  • bakstate_machine.vhd.bak  [2.64KB]
  • stpstp1.stp  [44.77KB]
  • vhdtone.vhd  [1.74KB]
  • baktone.vhd.bak  [1.74KB]
  • bdftop.bdf  [27.91KB]
  • doc1091000015-韩晓亚.doc  [1.92MB]
  • pdf1091000015_成绩单.pdf  [2.43KB]
  • pdf1091000015_答辩记录单.pdf  [2.45KB]
  • pdf1091000015_进度报告.pdf  [10.48KB]
  • pdf1091000015_开题报告.pdf  [5.72KB]
  • pdf1091000015_中期检查表.pdf  [4.54KB]

查看评论 已有0位网友发表了看法
  • 验证码: