您现在的位置:网站首页答辩论文论文专题

基于FPGA的浮点运算器IP核的设计与实现

  • 简介:基于FPGA的浮点运算器IP核的设计与实现摘 要随着集成电路工艺和设计技术的飞速进步,电子设计自动化技术的不断提高,微处理器有了惊人的发展,性能迅速提高。微处理器要达到高性能,其浮点处理能力也是一个重要的方面。近...
    • 请与管理员联系购买资料 QQ:5739126
  • 论文简介
  • 相关论文
  • 论文下载
[页数]:60          [字数]:18955

[目录]
第1章 浮点数运算基础及IEEE-754标准
第2章 EDA技术与FPGA
第3章 Verilog 语言与开发工具
第4章 浮点乘法运算器的设计与实现
第5章 时序仿真与功能验证
附录一  程序源代码
附录二  英文翻译

[摘要]
随着集成电路工艺和设计技术的飞速进步,电子设计自动化技术的不断提高,微处理器有了惊人的发展,性能迅速提高。微处理器要达到高性能,其浮点处理能力也是一个重要的方面。
近年来,浮点数在高精度数字计算中被普遍使用,并且随着数字信号处理和图像处理等精度、实时性要求高的需求增长,其应用范围也越来越广。IEEE-754浮点标准是目前使用最广泛的浮点数标准,它规定了符合该标准所需的浮点数的格式、精度、算术操作以及舍入、异常处理等。
浮点数可以同时提供大范围和高精度的数据,因此,在计算机系统中实现高性能浮点运算能力已势在必行。浮点处理器经历了由数字协处理器,到集成于微处理器中的浮点处理单元的过程。
本文首先介绍了课题的背景以及国内外相关领域的发展历史和现状,并对浮点数格式和IEEE-754浮点标准进行简要的概述。然后对本设计中所用到的EDA技术,FPGA及开发软件简要描述。最后,主要介绍了浮点乘法的运算流程,系统的具体实现方法及功能的验证。

[正文]
前 言
本设计应用硬件描述语言Verilog和FPGA可编程技术,遵循IEEE-754浮点数标准的表示方法和运算规则,在FPGA上实现一个单精度浮点乘法器,并用EDA仿真软件进行仿真验证。
一、选题的目的及意义
由于浮点数运算本身的一些特性,决定了其运算操作的复杂度远远超过普通定点数的运算。在CPU芯片的设计过程中,高速的运算单元——算术逻辑单元(ALU)与浮点运算单元(FPU)成了高性能计算机与数据处理系统的关键部件。尤其是浮点运算单元,由于它具有复杂的运算过程,使其成为数字处理的瓶颈,它的速度影响了整个CPU芯片的性能。因此,急需设计高性能的浮点运算单元。
传统的浮点数运算采用计算机软件算法或在DSP芯片内实现。但是,计算机是基于顺序语言的,其描述过程繁琐,处理速度受时钟频率的限制,难于实现高速实时运算且不易在线修改。DSP芯片尽管采用了改进的哈佛结构,具有独立的程序和数据空间,允许同时存取程序和数据等,但基本架构仍属顺序执行的CPU架构,对许多要求高速处理的对象,显得不堪重负。EDA(Electronic Design Automation)技术和大规模可编程门阵列芯片FPGA(Field Programmable Gate Array)的出现提供了用硬件直接实现浮点数运算的可能。由于用硬件实现的浮点数运算器,能够以并行运算的方式工作,速度快,抗干扰性强,运行可靠,并且可以作为IP核被随时调用,所以在性能和应用的灵活性上都有极大的优势。因此,研究基于FPGA的浮点运算器IP核具有重要的现实意义。
二、国内外浮点运算器的研究现状和发展趋势
......

[参考文献]
[1]William Stallings编著.张昆藏等译.计算机组织与体系结构(第六版).北京:清华大学出版社,2005:19-20
[2]IEEE sed 164-2001 : IEEE Standard Verilog Hardware Decription Language
IEEE,2001
[3]姜雪松,张海风编著.可编程逻辑器件和EDA设计技术.北京:机械工出版社,2006:5-7
[4]袁莉萍,文家焱,陈黎.计算机组成原理—习题解析与实验指导.北京:冶金工业出版社,2005:38-41
[5]刘昌华. 数字逻辑EDA设计与实践. 北京: 国防工业出版社, 2006:50-53
[6]赵不贿.在系统可编程器件与开发技术.北京:机械工业出版社,2004
[7]李广军,孟宪元.可编程ASIC设计与应用.成都:成都电子科技大学出版社,2000:86-88
[8]Synplicity FPGA Online Help.synplicity 公司,2005
[9]Samir Palnitkar .Verolog HDL 数字设计与综合。北京:电子工业出版社,2004:14-21
[10]Michael D.Ciletti .Verilog HDL高级数字设计.北京:电子工业出版社。
......

[原文截取]
基于FPGA的浮点运算器IP核的设计与实现
摘 要
随着集成电路工艺和设计技术的飞速进步,电子设计自动化技术的不断提高,微处理器有了惊人的发展,性能迅速提高。微处理器要达到高性能,其浮点处理能力也是一个重要的方面。
近年来,浮点数在高精度数字计算中被普遍使用,并且随着数字信号处理和图像处理等精度、实时性要求高的需求增长,其应用范围也越来越广。IEEE-754浮点标准是目前使用最广泛的浮点数标准,它规定了符合该标准所需的浮点数的格式、精度、算术操作以及舍入、异常处理等。
浮点数可以同时提供大范围和高精度的数据,因此,在计算机系统中实现高性能浮点运算能力已势在必行。浮点处理器经历了由数字协处理器,到集成于微处理器中的浮点处理单元的过程。
本文首先介绍了课题的背景以及国内外相关领域的发展历史和现状,并对浮点数格式和IEEE-754浮点标准进行简要的概述。然后对本设计中所用到的EDA技术,FPGA及开发软件简要描述。最后,主要介绍了浮点乘法的运算流程,系统的具体实现方法及功能的验证。
关键词:浮点乘法,IEEE-754标准,FPGA,IP核,QuartusⅡ
DESIGN AND IMPLEM.....
查看评论 已有0位网友发表了看法
  • 验证码: