您现在的位置:网站首页答辩论文工学论文电子论文

数字秒表设计

  • 简介: (论文 页数:19 字数:4339 带程序)摘 要:数字秒表是日常生活中比较常见的电子产品,其设计也是EDA技术中最基本的设计实验之一。秒表的逻辑结构较简单,它主要由分频器、十进制计数器、6进制计数器、数据选择器、报警器和显示译码器等组成。整个秒表还需有...
    • 请与管理员联系购买资料 QQ:5739126
  • 论文简介
  • 相关论文
  • 论文下载

 (论文 页数:19 字数:4339 带程序)摘 要:数字秒表是日常生活中比较常见的电子产品,其设计也是EDA技术中最基本的设计实验之一。秒表的逻辑结构较简单,它主要由分频器、十进制计数器、6进制计数器、数据选择器、报警器和显示译码器等组成。整个秒表还需有一个启动信号和一个归零信号,以便秒表能随意停止及启动。秒有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器的连接。且当计时达60分钟后,报警器报警10声。


关键字:秒表设计,2.5万分频器,十进制计数器,六进制计数器,报警器

 

 


目 录

一、概述 3
二、程序分析 3
三、程序清单及仿真 5
1. 2.5万时基分频器 5
2.十进制计数器 6
3.六进制计数器 7
4.报警器 8
5.数据选择器 8
6.显示译码器 10
7.顶层文件 10
8.引脚锁定 13
9.下载设计文件 14
10.硬件验证设计电路 15
四、总原理图 15
五、结论 16
六、心得体会 16
七、参考文献 16






一、概述
秒表的逻辑结构较简单,它主要由分频器、十进制计数器、6进制计数器、数据选择器、报警器和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外,整个秒表还需有一个启动信号和一个归零信号,以便秒表能随意停止及启动。
秒有共有6个输出显示,分别为百分之一秒、十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之相对应,6个计数器的输出全都为BCD码输出,这样便与同显示译码器的连接。当计时达60分钟后,报警器报警10声。
技术要点:
1.分频器:用来产生100HZ计时脉冲;
2.四个10进制计数器:分别对百分之一秒、十分之一秒、秒和分进行计数;
3.两个6进制计数器:用来分别对十秒和十分进行计数;
4.显示译码器:完成对显示的控制;
5.数据选择器:对6个输出显示数据进行选择,并传送数据;
6. 报警器:实现满60分钟报警10声。
二、程序分析
在整个秒表设计过程中最关键的是如何获得一个比较精确的100Hz(周期为1/100秒)计时脉冲。对此,将2.5MHz 的时钟脉冲信号送到设计好的分频器中进行2.5万分频后即可得到100Hz的频率信号。将得到的100Hz频率的信号经过2个十进制计数器分频,得到0.00~0.99秒输出数据,并产生1秒进位输出。1秒进位输出经过由一个十进制计数器和一个六进制计数器构成的60分频器分频后,得到0~59秒的输出数据,并产生1分钟进位输出。1分钟进位输出再经过由一个十进制计数器和一个六进制计数器构成的60分频器分频后,得到0~59分的输出数据,并产生1小时进位输出。将1小时进位输出接报警器的输入端,当输入口输入高电平时,报警器(蜂鸣器)响,即可实现60分钟启动一次报警器。通过设计使报警器每次启动后响10声停止。

查看评论 已有0位网友发表了看法
  • 验证码: