您现在的位置:网站首页答辩论文工学论文电子工程(报告)

[电子通讯] MAX plus II 使用心得

  • 简介:随着科学技术的发展,电子产品的更新换代进一步加快,现代电子设计技术已进入一个全新的阶段。从中小规模的通用集成芯片构成电路系统,到应用微处理器、单片机构成数字系统,这一过程克服了中小规模集成电路在系统设计中的一些缺点,同时也为电子设计技术...
    • 请与管理员联系购买资料 QQ:5739126
  • 论文简介
  • 相关论文
  • 论文下载
目录 不存在
随着科学技术的发展,电子产品的更新换代进一步加快,现代电子设计技术已进入一个全新的阶段。从中小规模的通用集成芯片构成电路系统,到应用微处理器、单片机构成数字系统,这一过程克服了中小规模集成电路在系统设计中的一些缺点,同时也为电子设计技术提供了一种软件设计的手段。然而,随着大规模和超大规模可编程逻辑器件在EDA技术支持下的广泛应用,使电子系统设计发生了质的变化。EDA技术是从计算机辅助设计CAD、计算机辅助制造CAM、计算机辅助测试CAT和计算机辅助工程CAE等技术发展而来的。它以计算机为工具,设计者只需对系统功能进行描述,就可在EDA工具的帮助下完成系统设计。EDA技术为电子产品的设计和开发缩短了时间,降低了成本,提高了系统的可靠性。
在EDA技术中,最为瞩目的是以现代电子技术为特征的逻辑设计仿真测试技术。该技术只需通过计算机就能对所设计的电子系统从不同层次的性能特点上,进行一系列准确测试和仿真;在完成实际系统的设计后,还能对系统上的目标器件进行边界扫描测试。高速发展的可编程逻辑器件又为EDA技术的不断进步奠定了坚实的物理基础。大规模可编程逻辑器件不但具有微处理器和单片机的特点,而且随着微电子技术和半导体制造工艺的进步,集成度不断提高,与微处理器、DSP、A/D、D/A、RAM和ROM等独立器件之间的物理与功能界限正日趋模糊,嵌入式系统和片上系统(SOC)得以实现。以大规模可编程集成电路为物质基础的EDA技术打破了软硬件之间的设计界限,使硬件系统软件化。这已成为现代电子设计技术的发展趋势。
MAX plus II是电子仿真领域里的一种常用的EDA软件,该软件是Altera公司提供的EPGA/CPLD开发集成环境。
......
查看评论 已有0位网友发表了看法
  • 验证码: