您现在的位置:网站首页答辩论文工学论文电子论文

PS2键盘接口芯片设计

  • 简介: 原文 1.1 设计的背景当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断地进行更新换代。它由早期的电子管、晶体管、小中规模集成电路、发展到超大规模集成电路(VLSIC,几万门以上)以及许多具有特定功能的专用集...
    • 请与管理员联系购买资料 QQ:5739126
  • 论文简介
  • 相关论文
  • 论文下载
原文

1.1 设计的背景
当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断地进行更新换代。它由早期的电子管、晶体管、小中规模集成电路、发展到超大规模集成电路(VLSIC,几万门以上)以及许多具有特定功能的专用集成电路。但是,随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC)芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中,因而出现了现场可编程逻辑器件(FPLD),其中应用最广泛的当属现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。
1.2 设计的内容
本设计的内容是采用以FPGA芯片10K20RC204-4为核心的硬件和应用VHDL语言设计一个能与PS/2键盘相接口的界面电路。接口芯片能够完成读取104键标准键盘的扫描码,通过数码管显示按键的扫描码并能够与CRT显示器控制芯片相接口,实现将键盘上按下的字符或者数字在显示器屏幕上显示。
1.3 设计的要求
要完成键盘接口芯片的设计,必须首先要了解和熟悉硬件描述语言VHDL及其软件运行环境MAX+plusⅡ仿真软件,还要熟悉和掌握下载仿真所使用的硬件—ALTRA系列产品的UP 1 Education Board学生实验板。
其次要了解键盘工作的原理,了解键盘作为一种常见而又重要的输入设备其怎样产生某一按键所对应的信号以及各个不同按键所产生的信号有何不同、满足什么样的规律。这样才能在设计接口芯片的时候设计出对按键信号所要处理的方案。
此外,需要了解PS/2键盘接口所遵循的通信协议。只有严格遵循通信协议才能准确的接收到键盘产生并出的数据并对所接受的数据进行检测、处理。
1.4 设计的总体思路
根据该接口芯片所要完成的功能,芯片总体可分为以下几个模块:接收串行数据模块、串并转换模块、FIFO堆栈模块、扫描码显示模块,如果实现与CRT显示器控制芯片相连则还有输出缓存模块、输出译码模块......


  目录

第1章 绪论 1
1.1 设计的背景 1
1.2 设计的内容 1
1.3 设计的要求 1
1.4 设计的总体思路 2
第2章 PS/2键盘的硬件 3
2.1 键盘的发展 3
2.2 键盘原理 3
2.2.1概述 3
2.2.2 键的识别 3
2.2.3 抖动的消除 5
2.2.4 重键问题的解决 5
2.3 扫描码 6
2.3.1 扫描码的概念 6
2.3.2 扫描码产生原理 7
2.3.3 不同按键情况的扫描码 7
2.3.4 扫描码集 8
2.4 本章小结 8
第3章 PS/2设备通信协议 9
3.1 PS/2接口标准的发展过程 9
3.2 PS/2接口硬件 10
3.2.1 物理连接器 10
3.2.2 电气特性 10
3.3 通信过程 11
3.3.1 一般描述 11
3.3.2 PS/2设备到主机的通讯过程 12
3.3.3 主机到设备的通讯过程 13
3.4 本章小结 14
第4章 硬件介绍 15
4.1 概述 15
4.2可编程逻辑器件介绍 15
4.2.1 可编程逻辑器件的发展历程 15
4.2.2 可编程逻辑器件的功能 16
4.2.3 可编程逻辑器件的选择 17
4.3 FLEX10K系列器件 18
4.3.1 FLEX10K器件的特点 18
4.3.2 FLEX10K器件中的嵌入式阵列(EAB) 19
4.4 EPF10K20RC240-4 器件简介 19
4.5 硬件连接框图 20
4.6 本章小结 20
第5章 软件设计 21
5.1接收并显示扫描码的设计 21
5.1.1 方案设计 21
5.1.2 接收数据模块 23
5.1.3 串并转换模块 23
5.1.4 FIFO模块 23
5.1.5 ASCII码转换模块 24
5.1.6 输出选择控制模块 24
5.1.7 输出译码模块 25
5.2 与CRT显示器连接的设计 25
5.2.1 方案设计 25
5.2.2 输出缓存模块 25
5.2.3 输出地址译码模块 26
5.3 设计的实现结果 26
5.4 本章小结 26
结论 28
致谢 29
参考文献                  30
附录 3


  参考资料

[1]候伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计[M].西安:西安电子科技大学出版社,1999:1-165.
[2]戴梅萼,史嘉权.微型计算机技术及应用[M].北京:清华大学出版社,2002:273-275.
[3]王金明,杨吉斌.数字系统设计与Verilog HDL[M].北京:电子工业出版社,2002:33-37.
[4]吕思忠,施齐云.数字电路实验与课程设计[M].哈尔滨:哈尔滨工程大学出版社,2001:77-92.
[5]阎石.数字电子技术基础[M].北京:高等教育出版社,1998:258-289.
[6]宋万杰.CPLD技术及应用[M].西安:西安电子科技大学出版社,1999:96-125.
[7]潘永雄,沙河,刘向阳.电子线路CAD使用教程[M].西安:西安电子科技大学出版社,2001:34-60.
[8]卢毅,赖杰.VHDL与数字电路设计[M].北京:科学出版社,2001:99-104.
[9]张昌凡,龙永红,彭涛.可编程逻辑器件及VHDL设计技术[M].广州:华南理工大学出版社,2001:46-58.
[10]谭会生,瞿遂春.EDA技术综合应用实例与分析[M].西安:西安电子科技大学出版社,2004:113-116.
[11]潘松,黄继业.EDA技术实用教程[M].北京:科学出版社,2005:66-68.


  简单介绍

虽然USB键盘、鼠标开始流行,但是PS/2设备仍然是PC机应用最广泛的设备。PS/2设备接口是由IBM开发并且最初出现在IBM技术参考手册里。PS/2鼠标和键盘履行一种双向同步串行协议,而且,不管通讯的方向怎样,鼠标/键盘总是产生时钟信号。如果主机要发送数据,它必须告诉设备开始产生时钟信号。
本设计是基于FPGA芯片,应用硬件描述语言VHDL设计一个能与PS/2键盘相接口的界面电路,实现能够接收PS/2键盘产生的扫描码,并通过数码管显示按键的扫描码。该芯片还能实现与CRT显示器控制芯片相连接。在键盘上按下一个键,其对应的字符在显示器上显示出来。

查看评论 已有0位网友发表了看法
  • 验证码: