您现在的位置:网站首页答辩论文工学论文电子论文

毕业设计 基于FPGA 的振幅键控调制器与解调器的设计与实现

  • 简介:  毕业设计 基于FPGA 的振幅键控调制器与解调器的设计与实现 共38页,24715字
    类型:word   
    • 请与管理员联系购买资料 QQ:5739126
  • 论文简介
  • 相关论文
  • 论文下载
  毕业设计 基于FPGA 的振幅键控调制器与解调器的设计与实现 共38页,24715字
   [摘 要] 1934年美国学者李佛西提出脉冲编码调制(PCM)的概念,从此之后通信数字化的时代应该说已经开始了,但是数字通信的高速发展却是20世纪70年代以后才开始的。随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。在数字传输系统中,数字信号对高频载波进行调制,变成频带信号,在接收端进行解调,恢复原数字信号对载波的控制分为振幅调制即振幅键控(ASK),频率调制即频率键控(FSK)和相位调制即相位键控(PSK)。现场可编程门阵列(FPGA)在通信领域得到了广泛的应用,利用FPGA性能优越、使用方便的特点,可以简化振幅调制解调电路的设计,而且易于反复编写和修改程序。文章介绍了 运用VHDL 语言进行基于FPGA 的振幅键控调制电路和解调电路设计的实现方案, 给出了程序设计和仿真结果, 完成了二进制基带数字信号的调制和解调, 得到了相应的调制信号和解调信号。
   [关键词]FPGA  振幅键控 调制解调 VHDL
   [Abstract]Communication digital era had began since American researcher Reeves put forward the concept of pulse code modulation (PCM) in 1934 and gained a rapid development after the 1970s. With the economic development, sound can’t meet the demand of consumers and they also want to see the images. What’s more, communication terminal doesn’t only include the single telephone set but also the data terminal such as electro-graph and computer. Current transmission medium such as cable,microwave repeater and satellite communication will prefer to use digital transmission. In digital transmission system, the digital signal are used to modulate the high frequency carrier wave to the frequency signal ,which transfers through the channel, are renewed at the receiver. Three ways to modulate the carrier wave are as follows:Amplitude Shift Keying(ASK),Frequency-Shift Keying(FSK) and Phase Shift Keying(PSK).Field-Programmable Gate Array(FPGA) is applied universally in the communication field. With the superior performance and utilization convenience of FPGA, the design of circuit in the Amplitude modulation and demodulation can be simplified and it’s easy for us to compile and modify the programme. This thesis introduces the main realization method of designing Amplitude Shift Keying modulation and demodulation circuit based on FPGA in VHDL ,illustrates the programme design and simulation result, implement the modulation and demodulation of binary baseband digital signal and finally finds out the corresponding modulation signal and demodulation signal.
   [Key words] FPGA  Amplitude shift keying Modem VHDL
查看评论 已有0位网友发表了看法
  • 验证码: