您现在的位置:网站首页答辩论文工学论文电子论文

毕业设计 OFDM通信系统基带数据处理部分的FPGA实现

  • 简介:毕业设计 OFDM通信系统基带数据处理部分的FPGA实现,共107页,附任务书、开题报告、部分VHDL原代码、外文翻译。,中文摘要,正交频分复用(OFDM,Orthogonal Frequency Division Multiplexing)是当前一种非常热门的通信技术。它即可以被看作是一种调制技术...
    类型:pdf    页数:107   
    资料包括:论文 源码 任务书 开题报告 外文翻译   
    • 请与管理员联系购买资料 QQ:5739126
  • 论文简介
  • 相关论文
  • 论文下载
文件大小:1.91MB
适用专业:通信工程
适用年级:大学
论文编号:42499

论文简介:
  毕业设计 OFDM通信系统基带数据处理部分的FPGA实现,共107页,附任务书、开题报告、部分VHDL原代码、外文翻译。
   中文摘要
   正交频分复用(OFDM,Orthogonal Frequency Division Multiplexing)是当前一种非常热门的通信技术。它即可以被看作是一种调制技术,也可以被看作是一种复用技术。由于它具有抗多径衰落和频谱利用率高的特点,因此被广泛应用于高速数字通信领域,比如应用于IEEE 802.11a无线局域网(WLAN)的物理层等等。
   我的毕业设计的核心任务是:采用FPGA来实现一个基于OFDM技术的通信系统中的基带数据处理部分,即调制解调器。其中发射部分的调制器包括:信道编码(Reed-Solomon编码),交织,星座映射,FFT和插入循环前缀等模块。我另外制作了相应的解调器,可以实现上述功能的逆变换。
   另外,我还对OFDM技术,IEEE 802.11a的标准文献,基于Simulink的OFDM模型和仿真,ALTERA公司的技术和IP Core的使用等方面进行了研究。这些在文章中都有体现。
   关键词:OFDM, FPGA, ALTERA
   目 录
   中文摘要…………………………………………………………………….…………….…I
   外文摘要…………………………………………………………………………………...II
   前言……………………………………………………………………….1
   第一章 OFDM技术介绍……………………………………………….4
   1.1 通信技术的发展…………………………………………………………….…4
   1.2 OFDM技术的提出是必然的……………………………………………….6
   1.2.1 无线通信的挑战………………………………………………………6
   1.2.2 多径效应的影响………………………………………………………6
   1.2.3 多载波技术……………………………………………………….…..7
   1.2.4 提高频谱利用率……………………………………………………..8
   1.2.5 OFDM技术的定义……………………………………………….….9
   1.3 OFDM的发展与应用……………………………………………….………10
   1.3.1 FFT促进了OFDM的发展………………………….……………10
   1.3.2 OFDM的应用……………………………………………..………..10
   1.4 OFDM的结构和各部分原理…………………………………………..…11
   1.4.1 OFDM的结构框图………………………………………………...11
   1.4.2 星座映射……………………………………………………………..11
   1.4.3 串并变换和FFT……………………………………………………12
   1.4.4 插入循环前缀……………………………………………………….13
   1.4.5 对于OFDM调制过程的理解……………. ………………….13
   1.5 小结…………………………………………………………………………….15
   参考文献………………. …………………………………………………………..15
   北京交通大学毕业设计(论文)
   IV
   第二章 802.11a标准介绍………………………………………….17
   2.1 802.11a标准介绍………………..……………………………………….17
   2.1.1 WLAN和802.11………….……………………………………..17
   2.1.2 IEEE 802.11标准的获取……………………………………….18
   2.2 802.11a部分内容介绍…………………………………………………..18
   2.2.1 802.11a结构……………………………………………………….19
   2.2.2 PLCP子层的PPDU帧格式………………………………………20
   2.2.3 Preamble的作用………………………………………………….20
   2.2.4 附录G,一个OFDM物理层数据编码的实例………….…21
   2.3 基于Simulink的802.11a的Demo仿真模型………………..21
   2.3.1 Demo模型和获取………………………………………………….21
   2.3.2 模型介绍和实时仿真………………………………………………22
   2.3.3 仿真模型的亮点………………………………………………….…24
   2.4 小结……………………………………………………………………………..25
   参考文献………………………………………………………………………………26
   第三章 FPGA和ALTERA……………………………….………….27
   3.1 FPGA技术的优势……………………………………………………………27
   3.1.1 可编程技术………………………………………………………..…27
   3.1.2 FPGA的技术特点……………………………………………….…28
   3.1.3 FPGA相比于DSP芯片的优势……………………………..…28
   3.1.4 FPGA相比于ASIC技术的优势…………………………….…30
   3.1.5 对FPGA发展的预测…………………………………………..…30
   3.2 ALTERA公司的理念………………………………………………….……31
   3.2.1 免费的工程师培训……………………………………………..…31
   北京交通大学毕业设计(论文)
   V
   3.2.2 免费的设计软件……………………………………………………32
   3.2.3 OpenCore plus技术……………………………………………32
   3.2.4 技术支持与服务……………………………………………………33
   3.2.5 小节……………………………………………………………………33
   3.3 ALTERA公司的技术………………………………………………………34
   3.4 小节…………………………………………………………………………..…35
   参考文献…………………………………….……………………………………….35
   第四章 ALTERA FFT MegaCore使用指南………………………36
   4.1 FFT MegaCore介绍………………………………………………………36
   4.2 FFT MegaCore应用流程…………………………………………………37
   4.2.1 下载和安装…………………………………………………..………37
   4.2.2 在工程中插入FFT MegaCore…………………….…………37
   4.2.3 IP Toolbench的使用……………………………………………38
   4.2.4 配置参数………………………………………………………………39
   4.2.5 生成FFT MegaCore………………………………………….…39
   4.2.6 在工程中应用FFT MegaCore……………………………….40
   4.2.7 编译和仿真…………………………………………………………..40
   4.2.8 OpenCore plus特性………………………………………….…41
   4.2.9 购买license认证………………………………………………….41
   4.3 各项具体参数说明……………………………………………………….…42
   4.3.1 介绍………………………………………………………………….…42
   4.3.2 FFT点数(Transform Length)……………………………43
   4.3.3 数据位数和旋转因子………………………………………………43
   4.3.4 I/O Data Flow设置………………………………………………44
   北京交通大学毕业设计(论文)
   VI
   4.3.5 FFT Engine Architecture…………………………….. ……45
   4.3.6 复数乘法器实现……………………………………………………46
   4.3.7 RAM选项………………………………………………………………46
   4.4 FFT MegaCore的管脚功能和时序……………………………………47
   4.5 FFT变换过程中的指数……………………………………………….……48
   4.6 FFT MegaCore的MATLAB仿真………………………………….…49
   4.7 小节……………………………………………………………………..………52
   参考文献………………………………………………………………………………52
   第五章 OFDM硬件设计具体细节…………………………………...53
   5.1 设计理念………………………………………………………………….……53
   5.1.1 基于IP的设计理念…………………………………………….…53
   5.1.2 不执著于节约硬件资源的思想…………………………………54
   5.1.3 规范的HDL书写风格……………………………………………55
   5.2 整体系统描述……………………………………………………………..…56
   5.2.1 发送端结构……………………………………………………..……56
   5.2.2 接收端结构………………………………………………………..…58
   5.3 各模块功能描述………………………………………………………….…59
   5.3.1 各模块间统一的接口………………………………………………59
   5.3.2 如何设计一个连续数据流的系统……………………………..60
   5.3.3 R-S编解码模块…………………………………………………..…61
   5.3.4 R-S encoder输出缓冲………………………………………..…62
   5.3.5 块交织器和解交织器………………………………………………62
   5.3.6 填充数据零………………………………………………………..…63
   5.3.7 星座映射和解映射…………………………………………………64
   北京交通大学毕业设计(论文)
   VII
   5.3.8 IFFT和FFT……………………………………………………………65
   5.3.9 插入和移除循环前缀………………………………………………65
   5.3.10 其它…………………………………………


论文文件预览:
共1文件夹,1个文件,文件总大小:1.91MB,压缩后大小:1.48MB

  • 毕业设计-OFDM通信系统基带数据处理部分的FPGA实现
  • pdf毕业设计(论文)OFDM通信系统基带数据.pdf  [1.91MB]

查看评论 已有0位网友发表了看法
  • 验证码: